ALD Systems

Loading...

PEALD Tool
XP8 QCM is a 300mm tool for high-productivity plasma-enhanced atomic layer deposition (PEALD) for advanced node memory and logic applications. The QCM, or Quad Chamber Module, is a process module with four tightly integrated process reactors. Up to four QCM modules can be configured to each XP8 platform, enabling the processing of up to 16 wafers at a time in high-volume production within a compact footprint. The system is capable of a broad range of dielectric PEALD processes, including silicon oxide and silicon nitride.

PEALD Tool
Eagle XP8 is a high-productivity 300mm tool for plasma-enhanced ALD (PEALD) applications. The Eagle XP8 PEALD system can be configured with up to four Dual Chamber Modules (DCM), enabling eight chambers in high-volume production within a very compact footprint. Eagle XP8 is capable of a broad range of dielectric PEALD processes, including low-temperature spacers for multiple patterning applications.

Thermal ALD System
AL-1 achieves high film thickness control and good step coverage by alternately supplying organometallic raw materials and oxidants to the reaction chamber and depositing the film using only surface reactions. The film thickness can be controlled on the order of atomic layers. In addition, the film can be deposited with good coverage and uniform thickness on the inner wall of the hole with high aspect ratio. Three ø4 inch wafers can be deposited at the same time.

PE-ALD
The AD-800LP is an Atomic Layer Deposition (ALD) system capable of controlling the film thickness at the atomic level. Organometallic raw materials and oxidants are alternately supplied to the reaction chamber and the film is deposited by surface reaction only. This system has a load lock chamber and does not open the reaction chamber to the atmosphere, which enables excellent reproducibility of film deposition.

ALD-CVD reactor for R&D
The Annealsys MC-100 system is a 100 mm DLI-CVD / DLI-ALD reactor developed for research and development applications. The process chamber double configuration makes possible to perform CVD and ALD processes inside the same process chamber. The Direct Liquid Injection (DLI) vaporizers provide perfect control of precursor flow and allow utilization of low vapor pressure and diluted chemical precursors. The fast switching of the precursor vapor flows associated with the by-pass valve provide perfect interface control for deposition of nanolaminates. The automated liquid panel has been optimized for reduced consumption of chemical precursors. The no dead volume design provides full rising capability for easy change of chemicals and refilling of the precursor tanks in a glove box . Simple and multi-metallic oxides Metals, nitrides and alloys III-V, wide band gap semiconductors, Nanotubes and nanowires Etc.

Research Scale Plasma Enhanced ALD Equipment
Layerava is a Hollow Cathode Plasma Enhanced Atomic Layer Deposition (HCP-ALD) system which is a versatile research tool designed for university and industrial research laboratories. Layerava is our PEALD + Thermal ALD capable platform. Plasma introduces an alternative energy source for the ALD surface reactions in addition to thermal energy. This increases the range of available chemistries with the introduction of various neutral radicals and ion species and result in denser film deposition.Layerava platform has unique advantages in growing III-Nitrides (AlN, GaN, BN, InN, TiN, etc) with record-low Oxygen contamination in III-Nitride films. Our patented large-area hollow cathode plasma (HCP) and compact reactor design allow for the highest quality as-grown III-Nitrides with the lowest Oxygen content reported in the literature. Thanks to our patented reactor design, our recipe does not require the use of corrosive gases such as NH3 for the growth of III-Nitride films, but only common gases such as N2, H2 and Ar are sufficient. With such a highly efficient reactor design combined with the hollow cathode plasma source, III-Nitride films can be grown polycrystalline at temperatures as low as 200C.The unique features of Layerava is providing amazing results in the growth of metal-oxide layers as well. The in-situ plasma annealing feature was shown to achieve as-deposited beta-phase Ga2O3 at record low temperatures as low as 200C.

Research Scale Atomic Layer Deposition Equipment
Born from a passion for research in the area of atomic layer deposition (ALD), OkyayTech is building custom ALD tools for university researchers and industrial research groups. Our philosophy is to design tools that can make ALD technology accessible to all researchers. Therefore, in our tool design, we emphasize reducing complexity and promoting easy use for our customers while providing best-in-class performance and customization driven by research needs. ALD FOR ALL LABS

Deposition Tool
The MVD4500 is our panel and large substrate tool. It is capable of handling multiple substrates with sizes up to 930 x 720 mm. It is the only batch process tool capable of performing ALD and MVD on substrates in this size range. It is designed for high performance, flexibility and reliability for the most demanding high-volume manufacturing applications.

Deposition Tool
The MVD300® (and MVD300E with an EFEM) are designed for high performance, flexibility and reliability for the most demanding high volume manufacturing applications.

Deposition Tool
The MVD100E is SPTS's designated tool for R&D or pilot manufacturing.MVD® is finding increasing use in applications requiring moisture barriers, anticorrosion coatings, or release layers for imprinting.The MVD100E is the designated tool for R&D or pilot manufacturing. It is designed for high performance, flexibility, and reliability for the most demanding applications. Corporate and academic research labs have called it their most versatile and reliable piece of equipment.

Deposition Tool
High throughput atomic layer deposition system for Cd-free buffer layer of CIGS solar cells

Deposition Tool
High throughput atomic layer deposition system for surface passivation of c-Si solar cells

Deposition Tool
Fluidized bed reactor providing efficient coating for samples of various 0D-1D shapes and sizes.

Deposition Tool
Starter model for those who don’t need much or have limited budget, but still want high quality.

Deposition Tool
Versatile chamber with different adaptable volumes providing efficient coatings for samples of various 2D – 3D shapes and sizes. THREE IN ONE!

Deposition Tool
The Play Series is user friendly and has easy access to all components. Offers high versatility for many different substrates and deposition materials. The design separates precursors, pump, trap, and control to mind the safety of the user. Guarantees flow pattern homogeneity.

Direct patterned ALD coating system using micronozzle
ATLANT3D™ Technology involves the sequential deposition of gases for direct patterning of various coating materials. It uses a micronozzle that sequentially ejects reactive vapors over a moving substrate. So far the following materials have been tested: TiO2, Pt, ZnO, SiO2.Unique ATLANT3D™ technology allows- Direct patterned ALD coating using micronozzle- Rapid atomic layer prototyping and manufacturing- Highly selective atomic layer patterning- Excellent 2D/3D conformal deposition on simple and complex surfaces- Combining multiple materials with excellent compatibility- Excellent pattern adhesion to almost any surfaces- Digital and atomically precise control over the printing process- With possibility to apply up to 450 different commercially available materials4 different precursors and 4 different reactants can be loaded at the same time, allowing you to quickly switch between the materials you wish to deposit or test

Plasma Enhanced Atomic Layer Deposition System
The NLD-4000 is a stand alone PC controlled ALD system which is fully automated and safety-interlocked having capabilities to deposit oxides and nitrides (e.g. AlN, GaN, TaN, TiN, Al2O3, AlN, TiN, ZrO2, LaO2, HfO2) for Semiconductor, Photovoltaic and MEMS applications. It has a 13" aluminum chamber with heated walls and a pneumatically lifted top for easy access. The system features an onboard glovebox which can accommodate an array of up to seven heated or cooled 50cc cylinders for precursors and reactants incorporating fast-pulse delivery valves for pulsed gas input. Unreacted precursors can be captured with a heated filter on the chamber exhaust port. Recipes, temperature setpoints, gas flows, pumpdown and vent cycles, and the flushing of delivery lines are all controlled automatically via LabVIEW software. Options include automatic load/unload (without changing system footprint), Planar ICP source with remote plasma for Plasma Enhanced ALD (Planar ICP geometry maintains a small reaction chamber volume for faster cycle times), and turbomolecular pump for lower base pressures.

Desktop Thermal ALD
The AT410 tool is the most cost-effective ALD system on the market. Semiconductor-grade components and metal-sealed lines together with fast pulsing valves gives research and development facilities quick access to high quality ALD films to quickly screen concepts. This tool boasts capabilities that meet or exceed those found in other tools on the market at a fraction of the cost.

CVD & ALD System Combined
Corial D250 is designed for low volume production over a wide range of applications for the specialty semiconductor markets.The Corial D250 PECVD/PEALD system is based on CORIAL’s unique reactor design. It houses an isothermal, pressurized reactor within a vacuum vessel, which is different from conventional PECVD/PEALD reactors with heated substrate holders. Featuring a next-generation gas showerhead and symmetrical pumping, the Corial D250 delivers excellent deposition uniformity for a wide variety of applications.Optimizing film stress control is simple to accomplish thanks to the reactor’s symmetrical design.COSMA Pulse software enables pulsing of any recipe parameter. The pulsing feature enlarges the process window to achieve better control of film properties, and supports Atomic Layer Deposition (ALD) in the Corial D250.The Corial D250 PECVD system achieves rapid and uniform deposition for a wide range of materials including SiO2, Si3N4, SiOCH, SiOF, SiC and aSi-H films, on wafers up to 200 mm diameter.This PECVD system can operate for years without the need for manual cleaning.

Atomic Layer Etching
Corial 210IL is designed for R&D and low volume production, and offers a wide range of applications for the specialty semiconductor market.This etcher is based on CORIAL’s latest generation of inductively coupled plasma reactor. The system features high density plasma, helical antenna, 2 MHz ICP RF generator and quartz liner, enabling high etch rates and excellent uniformities.Featuring a vacuum load lock, the Corial 210IL ensures stable process conditions and short pumping cycles, and offers the capability to run fluorinated and chlorinated chemistries in the same process recipe.The Corial 210IL ICP-RIE system can process a wide range of materials including silicon, oxides, nitrides, polymers, metals, III-V & II-VI compound semiconductors, and hard materials.When equipped with a 2 kW ICP source, the 210IL enables deep reactive ion etching of hard materials as Al2O3, SiC, LiTaO3, sapphire and glass.With our CORTEX Pulse software, pulsed or time-multiplexed processes can also be applied for Atomic Layer Etching (ALE) and deep silicon etch (DRIE) on our conventional Corial 210IL ICP-RIE system.

Atmospheric Spatial ALD systems
SALD manufactures atmospheric spatial ALD systems both for R&D and production. Compared with conventional ALD, spatial ALD stacks atomic layers many times faster, and moreover, in our systems, at atmospheric (nitrogen) pressure, avoiding the need for vacuum chambers and pumps. Maximum throughput at the lowest possible costs, improved functionality, efficiency and sustainability, material reduction, and longer life span are some of the added values Spatial ALD brings. SALD can use their spatial ALD precursor heads in many system sizes and geometries, allowing them to create customer specific machines, dedicated to R&D and production for a wide range of applications on flat substrates. SALD is the only company worldwide that has the expertise to scale up Spatial ALD technology quickly and reliably to large volume production systems. their in-depth expertise, combined with this unique ability, offers excellent opportunities in today’s green growth markets. SALD has the ambition to be at the forefront of promising new applications of Spatial ALD. They combine their thorough knowledge with renowned scientists and institutions around the world. SALD offers several industrial services based on our wide Spatial ALD experience, like initial test depositions on your substrates, small volume production options, and R&D engineering services for developing your high-volume Spatial ALD systems.SPATIAL ALD EQUIPMENT SALD’s unique patented nano-deposition technology is incorporated into compact Spatial ALD lab tools without the need to work in a vacuum or cleanroom. The maintenance-free deposition heads in several widths can handle endless variations in layers and substrates and are configurable with multiple (different) gas nozzles. As standard tools, SALD offers a lab scale open access system (model RD-C), as well as a glovebox variant (model RD-CG)Typical applications where high speed spatial ALD can be effectively used are: batteries, solar panels, barriers for packaging foils, green hydrogen, textiles & membranes, fuel cells, electrolysers

Research ALD system
The Beneq R2 is Beneq's most affordable premium research reactor with sticker price of 130,000 EUR. This tool is compact, scalable and ergonomically designed to be the perfect way to start your ALD journey. VALUE: Beneq R2 has all the components of a premium ALD reactor packed into an affordable and approachable tool. With upscale features like a dual chamber design, R2 provides the best value for an ALD starterpack.SIMPLICITY: The R2 is standardized to provide users with a straightforward and pragmatic tool ready to be used out of the box. All main components and electrical box are included in the tool frame, giving it a small, unassuming footprint. UPGRADABLE: The modular design of the R2 allows for quick upgrades and easy maintenance, including a 3D chamber and plasma options, for when your ALD needs change. Options include: Single wafer, batch, and plasma chambersOzone cabinet and extra gas linesSet of 2 hot sources with either vapor draw or loadand-release dosing

Atomic Layer Etching
The PlasmaPro 100 ALE delivers precise process control of etching for next-generation semiconductor devices. Specially designed for processes such as recess etching for GaN HEMT applications and nanoscale layer etching, the system's digital/cyclical etch process offers low damage, smooth surfaces.

Deposition Tool
Atomfab's ALD technology offers precisely controlled ultra‑thin films for advanced applications on the nanometre scale, with conformal coating of sensitive substrates structures. Atomfab delivers fast, low damage, low CoO production plasma ALD processing for GaN power and RF devices.The first remote Plasma Atomic Layer Deposition (ALD) system specifically designed for your high volume manufacturing needs. Delivering the fastest, low damage, low cost of ownership, production plasma ALD processing for GaN power and RF devices. Increased throughput & improved uniformity to bring remote plasma ALD to high volume manufacturing.Solutions for your production needsLow CoOQuick, easy maintenanceExcellent film uniformityHigh material qualityLow particle countsLow substrate damageFaster deposition ratehigh throughputClusterable automated wafer handlingAdvantages of Plasma ALD for GaN, Power & RF devices.With plasma pre-treatment prior to deposition to enhance interface quality Low damage, uniform depositionRemote plasma ALD with controlled ion energy from near zero to 30 eVALD passivation, gate dielectric by Al2O3 films

Plasma and thermal ALD Deposition Tool
The FlexAL atomic layer deposition (ALD) system offers a broad range of optimised high-quality plasma ALD and thermal ALD processes with maximum flexibility in precursors, processes gases, and hardware configuration within a single process chamber.Features:Chamber ports/windows for the integration of in-situ analysis equipment, e.g. ellipsometryAutomated single-wafer load-lock for loading of substrates up to 200 mmClusterable with additional process modules with vacuum transfer between chambersFully illustrated precursor exchange procedures with checklistsCleanroom interface available for through-wall installationOzone generator available for integrationWide range of electrode options – grounded and biased electrodesTurbomolecular pump benefits moisture-sensitive nitrides and metalsRF table bias option for film property enhancements such as conductivity, crystallinity, stress controlApplications:Superconducting nitrides for quantum devices (e.g. TiN, NbN)GaN HEMT pre-treatment and passivationHigh quality high-k gate oxides for graphene passivation (e.g. titanium oxide, silicon oxide, gallium oxide)ALD of 2D transition metal dichalcogenides (TMDCs)Pinhole-free passivation layers for OLEDs and polymersPassivation of crystalline silicon solar cellsALD moisture barriers and passivation of sensitive substratesHighly conformal coatings for microfluidic devicesAdditional Content:You can find a list of open access scientific literature papers where the FlexAl has been used here.

SILAYO - PEALD for optical coatings
SILAYO extends SENTECH ALD and PEALD product portfolio to deposition on 330 mm substrates and 3D substrates, 100 mm high. In order to ensure an excellent uniformity and conformality in layer-thickness, plasma enhanced atomic layer deposition is used for depositing optical thin films applied as e.g. anti-reflective coatings or optical filter systems. SILAYO uses the advantages of the Planar Triple Spiral Antenna (PTSA) ICP source in PEALD processing. The system is controlled by advanced SENTECH control software using remote field bus technology, and a user-friendly interface. It can optionally be equipped with proprietary Real Time Monitor for in situ monitoring. SILAYO is designed for conformal and homogeneous optical coatings on large 3D objects and on batches of small substrates. The SENTECH proprietary Planar Triple Spiral Antenna (PTSA) provides a homogeneous plasma for homogeneous optical coatings.SILAYO is a Plasma Enhanced Atomic Layer Deposition System for optical coatings and extends SENTECH PECVD and ALD product portfolio. Optical coatings require a high level of accuracy and repeatability regarding layer properties such as thickness, composition, conformality and stress control.

plasma enhanced ALD system
The atomic layer deposition systems from SENTECH allow plasma and thermal improved operation. The ALD systems can be configured for metal, oxide, and nitride deposition. 3D structures are coated conformally and homogenously. Using ALD, ICPECVD, and PECVD, SENTECH provides plasma deposition technology to deposit films from the nanometer scale up to several microns. SENTECH ALD systems enable the incorporation of various plasma and/or thermal improved ALD films to multilayer structures. Plasma and thermal improved atomic layer deposition is supported in a single reactor with an optimal shutter. SENTECH provides powerful, ultra-fast in-situ tracing of layer-by-layer film growth with the ALD Real Time Monitor and a broad range of spectroscopic ellipsometers.Key BenefitsSimple reactor cleaning - Common reactor cleaning is necessary for repeatable and stable atomic layer deposition processing. The reactor chamber is simply opened using a lifting device for cleaning the atomic layer deposition systems.Glove box system integration - SENTECH atomic layer deposition systems are adaptable with glove boxes from different suppliersCluster integration - Atomic layer deposition systems are accessible as modules for SENTECH clusters. The atomic layer deposition systems can be merged with SENTECH PECVD and engraving systems for industrial application. Clusters alternatively highlight cassette-to-cassette loading.PEALD for sensitive substrates - The real remote plasma source allows conformal and homogenous coating of sensitive layers and substrates at low temperature <100°C. A high flow of reactive gas species is offered at the sample surface with no ion bombardment or UV radiation.In situ diagnostics for process development and optimization - In situ diagnostic through the SENTECH ALD Real Time Monitor allows ultra-high resolution of single ALD cycles. The benefits are reduced process time, total cost of ownership, and confirmation of ALD regime. Spectroscopic ellipsometry, QMS and QCM are offered as in situ diagnostic, are benefits of the atomic layer deposition system as well.Presentation of systems and coating results here.Company brochure of the systems here.

vertically integrated ALD and PVD system
The first off-the-shelf vertical cluster equipment combining ALD and PVD deposition techniques in a compact, modular, and fully automated system.The flagship deposition system combines an Atomic Layer Deposition (ALD) chamber at the top and a Physical Vapor Deposition (PVD) chamber at the bottom. The chambers are divided by a gate valve that is closed when performing ALD and opens to run a PVD process without the need to move the substrate or ever breaking vacuum. This patent‑pending innovative vertical chamber arrangement forgoes the need for transfer arms and antechambers, avoiding the pitfalls that make the traditional cluster equipment bulky, slow, expensive, and prone to handling failures. The system is designed to be completely modular and upgradeable. The ALD and PVD chambers can be installed as stand-alone chambers or used together as a cluster deposition system. The ALD can also be used as a load‑lock, enabling fast substrate exchange and maintaining base vacuum. The SC‑1 can be further modified and customized to fit your requirements. The SC‑1 can fabricate n number of multinanolayers of multiple material systems from the PVD and ALD/CVD materials library with our easy‑to‑use hardware and software. Take control of your components with our Machine Control software, and create fully automated processes with our Recipe Creator tool. Standard recipes are ready to use for easy operation, and one can easily create new and/or modify recipes at any point. Add new gas lines, valves, and mass flow controllers; customize flanges and feedthroughs; exchange substrate holders; incorporate microwave plasma sources for PE-ALD and reactive sputtering, or add in situ metrology equipment. The SC‑1 series is extremely flexible to incorporate new hardware and be integrated into our software for manual control and recipe creation. Control your process and research with an innovative, flexible, and user-friendly system. Materials Factory with the benefits of ALD and PVD Multinanolayered Materials: Hundreds of nanolayers from various material systems from the PVD and ALD materials library can be synthesized. The combination of ALD and PVD layers creates a unique microstructure with tailored properties to fit in your desired application. Combinatorial Approach: The ALD‑PVD microstructure can be further tailored with different film thicknesses along the cross–section with different deposition temperatures across the substrate using our Temperature Gradient Stage (TGS). Modularity to customize and upgrade Expandable: The ALD and PVD chambers can be acquired and operated individually and then be upgraded to a cluster system. New devices or in situ metrology equipment can be added and incorporated to the hardware and software. Compact: By reducing the need of antechambers and mechanical arms, we reduce the complexity and footprint required in the lab. Fast to accelerate R & D Automated: The system is fully automated; all the devices and components are connected to our easy to use software. Hundreds of ALD and PVD multinanolayers can be fabricated with the push of a button. Smart R & D: The TGS allows to screen a temperature window to scan precursors, growth rates, crystal structure, chemical composition, mechanical behavior and more in a single deposition. User‑friendly to beginner and advanced users Recipe Creator: With our easy to use Recipe Creator, complex recipes can be made easy with different parameters in both ALD and PVD process during the deposition. Incorporate your devices or homemade systems and control it with our software. Low Maintenance: The easy attachable/detachable panels makes this equipment extremely easy to replace, clean and service the parts.

Vacuum spatial roll to roll ALD system
The Genesis ALD is ideal for coating any roll-format substrates, and for multiple functional applications.Passivation of cathodes and anodes for various types of lithium-ion and solid-state batteriesConductive layers and encapsulation for flexible solar cellsMoisture barriers for flexible electronicsAdditional contentBrochure of the Genesis ALD can be found here.Additional video about the Genesis ALD can be found here.Learn more about the science of spatial ALD here.

Vacuum Spatial ALD system
The Beneq C2R is a cluster-compatible vacuum spatial ALD (V-SALD) tool equipped with a continuous rotary mechanism and robust plasma-enhancement. With rotation speeds up to 200 rpm and in-situ optical broadband monitoring (BBM), C2R is the perfect production tool for high throughput optical coatings with tailored plasma ALD processes. Optical coatings often require micrometer thick layer, making spatial ALD speeds particularly useful.Technical highlightsVacuum spatial ALD (V-SALD) toolUltra-high deposition rates, up to several micrometers per hourRotary Batch Plasma Enhanced PEALD process for up to 7 pcs of 200 mm wafersFor lenses and other 3D substrates with thickness up to 30 mmHigh film thickness uniformity, suitable for demanding optical coating applicationsCan be equipped with a load lock or (cluster tool) wafer automation.Typical uniformity <2 % over the batchTypical materials SiO2, TiO2, Ta2O5, Al2O3Typical substratesWafers, up to 200 mmMirrorsHigh curvature lensesAdditional contentBrochure of the C2R can be found here.Additional video about the TFS-200 can be found here.Learn more about the science of spatial ALD here.

R&D Batch ALD System
The TFS 500 is ideal for diverse use in thin film coating applications. Being the first Beneq reactor model, has proven its case as a versatile tool for both in-depth ALD research and robust batch processing. The TFS 500 is an ideal tool for multi-project environments.The TFS 500 can handle several types of substrates; wafers, planar objects, particles and porous bulk materials, as well as complex 3D objects with high aspect ratio features. It can further be equipped with a manually operated load lock for increased wafer processing capabilities. Different types of reaction chambers can easily be fitted inside the vacuum chamber, which in turn enables optimizing each reaction chamber for each customer application.A list of open access scientific publications where the Beneq TFS 500 was used, can be found here.

Batch Production Equipment
The Beneq P1500 is the world’s largest ALD reactor. With a vacuum chamber width of 1.7 meters, this tool is uniquely designed to coat very wide area substrates and large batches of 3D components. As the latest innovation in Beneq’s P-Series, the P1500 continues to enable ALD on the biggest scale with viable high-volume manufacturing solutions.The P1500 is used for optical coatings on large diameter substrates, anticorrosive coatings of semiconductor equipment parts, and various applications where ALD is used on glass or metal sheets.

Batch Production ALD system
The Beneq P800 is specifically designed to coat big parts of complex shapes or large batches of smaller parts. Our customers use the P800 for optical coatings, anticorrosive coatings of semiconductor equipment parts, and various applications where ALD is used on glass or metal sheets. Perfect for industrial manufacturing. Boasting a vacuum chamber with a diameter of 800 mm, this tool provides ample space for high volume coating production while still providing excellent batch uniformity. It is ideal for depositing thick optical coatings and etch-resistant barrier films.Additional contentBrochure of the P800 can be found here.Additional videos about the P800 can be found here and here.

Batch Production Equipment
The Beneq P400A is built specifically to coat different types of substrates in an optimized batch size – large enough to offer significant capacity, but small enough to maintain excellent uniformity within batches and short cycle times. Our customers use the P400A for optical coatings and applications where ALD is used on glass or metal sheets. The Beneq P400A is a highly optimized, production-proven batch ALD system for high volume manufacturing of optical coatings and moisture barriers. P400A is large enough to offer significant capacity, but small enough to maintain excellent uniformity within batches and short cycle times.

200 mm Semiconductor Wafer Batch Production Tool
Beneq Prodigy™ is the ideal manufacturing solution for VCSEL, LED and MEMS manufacturers and foundries looking to enhance the device performance and reliability through an affordable stand-alone ALD batch tool. Beneq Prodigy provides best-of-breed passivation and/or encapsulation films across multiple wafer types and sizes. Prodigy is a simple, yet elegant, solution for enhancing device performance on 75–200 mm wafers with a low-cost batch tool with the latest ALD technology.Prodigy offers pure simplicity through an automated loader with horizontal wafer handling and easy maintenance & serviceability with easy side access.

200 mm single wafer plasma ALD & 200 mm batch thermal ALD cluster system
Thermal and Plasma ALD systems connected in one cluster system. Single wafer or batch processing. Widest range of high-performance oxides and nitrides. Maximize your options for flexible volume production. With its flexible automation platform and unique capability to combine both thermal batch and plasma enhanced ALD process modules in one integrated system, Beneq Transform® offers unparalleled flexibility in how processing sequences can be realized to meet the most demanding thin-film deposition requirements.Beneq Transform® establishes a completely new class of ALD cluster tool products in it’s versatility and adaptability to address a broad range of applications and market segments. The Beneq Transform® is a one-stop ALD solution for Power Electronics, MEMS and Sensors, RF, LED, Photonics, and Advanced Packaging applications and other More than Moore applications. Beneq Transform® configured with multiple ALD process modules to meet a specific wafer capacity requirement or be later upgraded in response to growing volumes or with new ALD applications.The Transform 200 cluster consists of:Brooks transfer module200 mm thermal batch ALD reactor2 units of 200 mm single wafer plasma ALD reactorsBatch wafer heater moduleBrooks transfer moduleThe Transform 200 Lite cluster consists of:Brooks transfer module200 mm thermal batch ALD reactor200 mm single wafer reactorBatch wafer heater moduleBrooks transfer moduleAdditional contentBrochure of the Transform can be found here.Additional video about the Transform can be found here.Atomic Layer Deposition (ALD) equipment percolates into serial production of More-than-Moore devices, a conversation with Yole Développement.

300 mm single wafer plasma and 300 mm batch thermal ALD cluster system
Thermal and Plasma ALD systems connected in one cluster system. Single wafer or batch processing. Widest range of high-performance oxides and nitrides. Maximize your options for flexible volume production. With its flexible automation platform and unique capability to combine both thermal batch and plasma enhanced ALD process modules in one integrated system, Beneq Transform® offers unparalleled flexibility in how processing sequences can be realized to meet the most demanding thin-film deposition requirements.Beneq Transform® 300 is the only 300 mm ALD cluster tool combining thermal ALD (batch) and plasma ALD (single wafer) technologies to provide a highly versatile solution to IDMs and foundries. Transform® 300 is dedicated to a broad range of advanced thin-film applications from gate dielectric to anti-reflection coating, final passivation or encapsulation and beyond.Transform 300 consists of:2 units of single 300 mm wafer plasma ALD reactor Batch 300 mm wafer thermal ALD reactorBatch 300 mm wafer preheater moduleBrooks transfer moduleAdditional contentBrochure of the Transform can be found here.Additional video about the Transform can be found here.

Deposition Tool
The KVAC-4000L system is a hybrid system that can process ALD process and CVD process in one chamber. The ICP type ALD process and thermal CVD process are sufficient to produce good quality films. ICP plasma enhanced atomic layer deposition has many advantages, such as the wide process window, high film density, low impurity contents, and broad choice of precursor chemistry and/or reactants compared to the conventional ALD and metal organic atomic layer deposition (MOALD)Methods. KVA-4000 series is designed and developed to unique hot wall, top flow, dual-chamber and also, KVAC-4000, KVA-ICP4000 series, KVA-CCP4000 series has the deposition of highest quality film with excellent uniformity. The KVAC-4000L system is a hybrid system that can process ALD process and CVD process in one chamber. The ICP type ALD process and thermal CVD process are sufficient to produce good quality films.

Deposition Tool
KVA-4000 series is designed and developed with a unique hot wall, top flow, dual-chamber and also, KVAC-4000, KVA-ICP4000 series, KVA-CCP4000 series has the deposition of highest quality film with excellent uniformity.

Deposition Tool
The Phoenix® system is engineered for high throughput and maximum uptime in any fabrication environment, from pilot production to industrial-grade manufacturing. Technologists and researchers rely on the Phoenix® for repeatable, highly accurate film deposition on flat and 3D substrates alike. And with support for up to six individual precursor lines, the Phoenix® delivers solid, liquid, or gaseous process chemistries depending on your thin film needs. A compact footprint and innovative design makes the Phoenix® the practical choice for those with batch production ALD requirements.Scientific articles related to the Phoenix ALD system: http://manuscript.elsevier.com/S0017931015008418/pdf/S0017931015008418.pdf https://iopscience.iop.org/article/10.1149/1.3485279

Deposition Tool
The Fiji® series is a modular, high-vacuum thermal ALD system that accommodates a wide range of deposition modes using a flexible architecture and multiple configurations of precursors and plasma gases. The Fiji G2 is a next-generation ALD system capable of performing thermal and plasma-enhanced deposition.We have applied advanced computational fluid dynamics analyses to optimize the Fiji® reactor, heater, and trap geometry. The system’s intuitive interface makes it easy to monitor and change recipes and processes as needed.The Fiji® is available with up to six precursor lines that can accommodate solid, liquid or gas precursors, and six plasma gas lines, offering significant experimental flexibility in a compact and affordable footprint.Here is a list of open access scientific articles where the Fiji has been used, and there is also a wiki page on this tool.

Deposition Tool
Savannah® has become the preferred system for university researchers worldwide engaged in ALD and looking for an affordable yet robust platform. We have delivered hundreds of these systems in the past decade. Savannah®’s efficient use of precursors and power-saving features substantially reduces the cost of operating a thin film deposition system.Savannah® is equipped with high-speed pneumatic pulse valves to enable our unique Exposure Mode™ for thin film deposition on Ultra High Aspect Ratio substrates. This proven precision thin film coating methodology can be used to deposit conformal, uniform films on substrates with aspect ratios of greater than > 2000:1. Savannah® is available in three configurations: S100, S200, and S300. Savannah® is capable of holding substrates of different sizes (up to 300mm for the S300). The Savannah® thin film deposition systems are equipped with heated precursors lines and the option to add up to six lines. Savannah® is capable of handling gas, liquid, or solid precursors.Scientific open access publications where the Savannah has been used are listed here.

Deposition Tool
The GEMStar XT-S/DTM Thermal Atomic Layer Deposition system offers 300 °C (450 °C optional) ALD processing through the full range of substrates, including Solar Cell ALD development. Configured standard with either a single (S) or dual (D) 200 °C manifold zones, four (S) or eight (D) high speed material ALD valve ports (one with vapor push technology), two locatable 200 °C material temperature zones and an external gas interface, the GEMStar XT-S/DTM represents one of the most configurable systems in its price range.Scientific open access publications where the Gemstar has been used are listed here.

Deposition Tool
Not yet ready for PEALD development, the GEMStar XT-RTM Thermal Atomic Layer Deposition systems offers 300 °C (450 °C optional) ALD processing through the full range of substrates, including Solar Cell ALD development and the ability to upgrade to full Plasma Enhanced (PEALD) capability in the future! Configured standard with either a single (S) or dual (D) 200 °C manifold zones, four (S) or eight (D) high speed material ALD valve ports (one with vapor push technology), two locatable 200 °C material temperature zones and an external gas interface, the GEMStar XT-RTM represents one of the most configurable systems in its price range.Scientific open access publications where the Gemstar has been used are listed here.

Deposition Tool
The GEMStar XT-DPTM Plasma Enhanced Atomic Layer Deposition (PEALD)system extends the capabilities of the GEMStar XTTM Thermal system to include best in class plasma processing through the full range of substrates. Configured with a 300 Watt air cooled direct Inductively Coupled Plasma (ICP) RF system with four mass flow controlled gas inputs, either single (S) or dual (D) 200 °C manifold zones, four (S) or eight (D) high speed material ALD valve ports (one with vapor push technology), two locatable 200°C material temperature zones and an external gas interface, the GEMStar XT-DPTM system is a powerful plasma enabled tool to extend your research and processing requirements to the next level.Scientific open access publications where the Gemstar has been used are listed here.

Deposition Tool
The Kurt J. Lesker Company® (KJLC®) ALD150LX™ is an Atomic Layer Deposition (ALD) system designed specifically for advanced research and development (R&D) applications. Innovative ALD150LX design features, like our Patented Precursor Focusing Technique™ and advancements such as our Patent Pending Ultrahigh Purity (UHP) Process Capability provide unparalleled flexibility and performance. With an emphasis on enabling and supporting innovative, cutting edge technology at the R&D level, the ALD150LX serves not only as a stand-alone platform, but provides connectivity with additional process and analysis modules in a cluster tool configuration.ALD150LX cluster tool connectivity eliminates unwanted atmosphere exposure between critical process and analysis steps to protect sensitive surfaces, layers and their interfaces. This connectivity includes the integration of additional ALD and analysis modules, as well as other KJLC thin film deposition technologies for multi-technique process and analysis capability and support that are second-to-none in the industry. Combined quality, flexibility and performance, as well as multi-technique process and analysis capability make the ALD150LX an innovative, best-in-class design.

Deposition tool
The Kurt J. Lesker Company® (KJLC®) ALD150LE™ is our most affordable, but extremely flexible Atomic Layer Deposition (ALD) system specifically designed with the entry to mid-level user in mind. The ALD150LE™ is configured for purely thermal ALD, and its unique process chamber design promotes uniform precursor dispersion and delivery. Superior heating and temperature control further enhance system performance. Overall, the ALD150LE™ provides unparalleled flexibility and performance in a compact design without sacrificing serviceability.

Deposition Tool
NT333™ is TEL’s first semi-batch chamber for ALD (Atomic Layer Deposition). It offers thin film thickness control at the atomic level while providing exceptional film quality and high productivity due to employment of the spatial ALD method versus more conventional time divided ALD technology. Multiple substrates can be simultaneously processed within each chamber. The chamber itself is partitioned into complementary sections enabling simultaneous exposure to and adsorption of precursors and other reactants in a continuous mode by substrate rotation. One ALD cycle is performed by one rotation of the wafer stage. No longer is ALD processing limited to ultra-thin films. High productivity ALD is now attainable by high stage rotation speeds along with an optimized gas delivery system made possible by well isolated reaction zones.NT333™ has large wafer capacity as compared to single or dual wafer processing techniques. With the added capability of pre or post treatment steps included in each ALD cycle, NT333™ is capable of forming films of the highest quality while operating at low temperatures and providing tunable film stresses for a variety of applications. Additionally, NT333™ has unique plasma shield which mitigates plasma damage resulting in high quality films while maintain high stage rotation speeds.

100 mm R&D ALD system
SVT Associates’ Atomic Layer Deposition (ALD) system is a versatile research deposition tool for thermal or energy-enhanced ALD. With up to 8 precursor lines and a hot wall deposition chamber, a wide range of applications may be performed by a single system. Sample introduction is rapid and convenient with a quick hatch or the optional load lock. SVT Associates’ ALD system can be interfaced with other deposition and metrology tools. Integration of in-situ metrology tools and the RoboALD™ software/system automation increases process reproducibility. Fully UHV Upgradeable. Demo and deposition services are available.Some scientific articles where the Northstar has been used can be found here.Applications:High-k Dielectrics NanocoatingsMEMSPhotonic Crystals Diffusion Barriers Device Encapsulations Surface Modification Layers

200 mm R&D ALD system
SVT Associates' NorthStar™ Atomic Layer Deposition (ALD) system is a versatile research 200 mm deposition tool for thermal or energy-enhanced ALD. With up to 8 precursor lines and a hot wall—cross flow deposition chamber, a wide range of applications may be performed from a single system. Sample introduction is rapid and convenient with a quick hatch or the optional load lock. The NorthStar ALD system can be interfaced with other deposition and metrology tools. Integration of in-situ metrology tools and the RoboALD software/system automation increases process reproducibility. SVTA is your source for cost-effective atomic layer deposition equipment.Some scientific articles where the Northstar has been used can be found here.

- Roll to Roll Vacuum Spatial ALD (V-SALD) system
Lotus’ TransFlex Roll to Roll ALD technology is ideally suited to the deposition of relatively thin ALD films, where high performance, high volume, and low coating cost are all critical.The unique patented technology for Roll to Roll PEALD achieves high speed, low cost deposition in a remarkably simple way. We weave the flexible substrate back and forth between physically separated precursor regions. This geometry allows extended path lengths for the substrate in each of the reaction zones, allowing web speeds orders of magnitude faster than can be achieved in Spatial ALD reactors utilizing coating “heads” (see schematic above).Operating under medium vacuum pressure similar to that used for conventional ALD, precursor separation is easily maintained by differential pressure and pumping, allowing large open passageways, millimeters wide, for the substrate to pass through. This is in stark contrast to atmospheric pressure Spatial ALD, where the passageway gaps must be restricted to 10’s of microns to avoid precursor mixing. And the use of a simple DC direct plasma instead of water as the oxygen precursor allows us to maintain very high web speeds, even at very low temperatures.

- Vacuum spatial ALD (V-SALD) system
LotusAT’s Vortex ALDTM technology revolutionizes batch ALD processing. Instead of pulsing and purging precursors to and from a static substrate in a single chamber, the substrate is transported by rotation to the various precursor, purge, and plasma zones of the reactor, eliminating the time required for precursor introduction, saturation, and purge with each ALD cycle. Further, Lotus’ patented Radical Enabled ALD technology, incorporating “Precursor Separation by Radical De-activation”, greatly simplifies zone separation, and enables the use of a simple DC plasma.Operating under medium vacuum conditions of about 1 Torr pressure, the precursor separation technology enables wide zone isolation gaps, up to 30 millimeters in some cases, which allows uniform deposition on relatively large and irregularly shaped substrates, such as stuffed circuit boards, highly curved optical lenses, and thick planar substrates.See this paper for an example of scientific use of this system.

Mini Batch ALD system
The Harrier-M™ is a mini batch ALD system for NAND, DRAM and Logic device which has better ALD performance with small volume, narrow gap & wide boat pitch. The better ALD performance with faster pumping/purge ability can provide increased film quality with precision material engineering, excellent step coverage, minimal pattern loading, lower impurity and best wafer to wafer uniformity with compact “5+1” zone heater.

Large Batch Thermal ALD system
The Harrier-L™ is Eugene’s new product for batch Thermal & Plasma Enhanced ALD and LPCVD process, provides outstanding mass productivity as compared with established batch system and excellent process solutions with high vacuum conductance design and high thermal control accuracy.

Single Thermal LPCVD System
The BlueJay-e™ : Next generation, compact version offering the same process advantages with a smaller footprint and lower CO2.The BlueJay™: Temperature uniformity control and tunable process gas flow in our multi-chamber, single wafer platform offer unique process flexibility, excellent film thickness uniformity and repeatability, lower thermal budgets and ease of tool matching.

Thermal ALD powder coatings
Supports thermal ALD processes for nano-powders using a rotating reactor approach.

Thermal & Plasma Enhanced ALD Process
Supports a wide variety thermal and plasma ALD processes, and plasma CVD processes, on wafers up to 300mm

300 mm wafer production ALD system
Synergis® is a high productivity 300mm tool for a wide range of thermal ALD applications. The Synergis system can be configured with up to four Dual Chamber Modules (DCM), enabling eight chambers in high volume production, within a very compact footprint.

300 mm wafer R&D ALD system
Pulsar AXIS includes a series of reactor improvements resulting in improved film uniformity, defect performance and wafer-to-wafer repeatability. Additionally the AXIS reactor package enables increased reactor lifetime between clean cycles and improved maintainability in volume production.ALD works by exposing the heated wafer to controlled pulses of process gases. These pulses are each followed by purging. Pulses and purge steps continue in sequence. One complete sequence, called an ALD cycle, forms an atomic layer of the desired material. Multiple layers are formed up to the desired thickness by repeating this cycle.The Pulsar reactor chamber and source delivery system are optimized for precise gas-flow dynamics and minimum purge times that provide advanced process control, film purity and uniformity. Pulsar is designed for gas delivery of solid source precursor materials which have demonstrably better film property performance than liquid precursors.Up to four Pulsar modules can be configured to the XP cluster platform, a member of ASM’s 300mm XP common platform series. Pulsar can also be configured to the Polygon 8300 platform for 300mm wafers, and the Polygon 8200 platform for 200mm and smaller wafers.Some Open Access scientific papers where the ASM Pulsar has been used, can be found here.

300 mm wafer production plasma ALD system
EmerALD XP is a process module designed to deposit thin conformal metal and dielectric layers by atomic layer deposition (ALD) used for advanced CMOS gate stacks and other applications.ALD works by exposing the heated wafer to controlled pulses of process gases. These pulses are each followed by purging. Pulses and purge steps take place in sequence. One complete sequence, called an ALD cycle, forms an atomic layer of the desired material. Multiple layers are formed up to the desired thickness by repeating this cycle.The EmerALD reactor chamber uses a showerhead-process gas distribution method to assure uniform gas delivery to the wafer surface. EmerALD also has a remote plasma chamber clean capability which for many processes allows the option of maintaining chamber performance for longer periods between chamber cleans resulting in higher uptime.Up to four EmerALD process modules can be configured to the XP cluster platform, which is a member of ASM’s XP common platform series.

Roll to Roll spatial ALD
The optimized design enables ultrafast deposition onto flexible substrates, such as polymer or metal foils. The system can be configured for thermal or plasma enhanced Spatial ALD to match the desired material to be deposited. The web width, web speed and deposition materials will be ready to be adopted according to the overall customer application. The standard web-with is ranging from 300 to 2000 mm and a web speed up to 60 m/min. SparkNano uses proven technology used for other high throughput energy applications. Additionally, optional integrational designs for line integration are offered.

R&D and production spatial ALD tool for large flat substrates
Vellum is tailored designed for sheet to sheet (S2S) applications for deposition on substrates ranging from 0,5 x 0,5m up to 1 x 1.5 m. Substrates like metal or polymer foils, wafers, glass and porous substrates. All aimed at real production throughput up to 20 substrates per minute. In combination with a large variety of deposition materials and deposition temperatures. The substrate flow handling and inline integrated set-up of the system will be in designed as the overall set-up requires.The Vellum product line is a fully automatic processing platform for high throughput.

R&D Spatial ALD Tool
The LabLine series are designed for versatility and flexibility and are especially suited for process development and pilot-line production. Through its unique design, it is possible to accommodate a wide variety of types and sizes of substrates and different materials. The LabLine series is available in two sizes; for maximum 6 inch and for maximum 12 inch substrates . The LabLine series combines the highest quality Spatial ALD film depositions together with the flexibility of the system to fit future needs and applications. Learn more about the science of atmospheric spatial ALD here.

R&D plasma ALD system for 3D objects and up to 200 mm wafers
The PICOSUN® R-200 Advanced ALD systems are suitable for R&D on dozens of applications such as IC components, MEMS devices, displays, LEDs, lasers, and 3D objects such as lenses, optics, jewelry, coins, and medical implants.The agile design enables the highest quality ALD film depositions together with the ultimate system flexibility to fit future needs and applications. The patented hot-wall design with fully separate inlets and instrumentation enables particle-free processing adaptable on a wide range of materials on wafers, 3D objects, and all nanoscale features. Excellent uniformity even on the most challenging through-porous, ultra-high aspect ratio, and nanoparticle samples is achieved thanks to our proprietary Picoflow™ technology. The PICOSUN® R-200 Advanced systems are equipped with highly functional and easily exchangeable precursor sources for liquid, gaseous, and solid chemicals. Highly efficient and patented remote plasma option enables deposition of metals without the risk of short-circuiting or plasma damage. Integration with glove boxes, UHV systems, manual and automated loaders, cluster tools, powder chambers, roll-to-roll chambers, and various in situ analytics systems enable efficient and flexible research with good results no matter what your research area is now or might become later on.(*) Plasma generator technical features:Remote plasma source mounted to the loading chamber with connection to the reaction chamberSapphire applicator for different chemistries at superior particle performanceCommercial microwave plasma generator with adjustable 300 – 3000 W power, 2.45 GHz frequencyProtective gas flow in the intermediate space (no back-diffusion of the plasma species)Possibility to plasma and thermal ALD cycling during the same deposition run without hardware changes to the systemFollowing relevant standards were used for evaluation of compliance of the power supply: DIN EN ISO 12100:2011-03, DIN EN 60204-1:2007-06, DIN EN 61000-6-2:2006-03, DIN EN 61000-6-4:2011-09The power supply has been developed and manufactured to meet the requirements stipulated in SEMI S2-0310A list of open access scientific publications where the Picosun R-200 has been used can be found here.

R&D thermal ALD system for 3D objects and up to 200 mm wafers
The PICOSUN® R-200 Standard ALD systems are suitable for R&D on dozens of applications such as IC components, MEMS devices, displays, LEDs, lasers, and 3D objects such as lenses, optics, jewelry, coins, and medical implants.The PICOSUN® R-200 Standard ALD system is the market leader in thermal ALD research tools. It has become the tool of choice both for companies and research institutes driven by innovation.The agile design enables the highest quality ALD film depositions together with the ultimate flexibility of the system to fit future needs and applications. The patented hot-wall design with fully separate inlets and instrumentation enables particle-free processing adaptable on a wide range of materials on wafers, 3D objects, and all nanoscale features. Excellent uniformity even on the most challenging through-porous, ultra-high aspect ratio, and nanoparticle samples can be achieved thanks to our proprietary Picoflow™ technology. The PICOSUN® R-200 Standard systems are equipped with highly functional and easily exchangeable precursor sources for liquid, gaseous, and solid chemicals. Integration with glove boxes, powder chambers, and various in situ analytics systems enable efficient and flexible research with good results, no matter what your research area is now, or what it might become later on.A list of open access scientific publications where the Picosun R-200 has been used can be found here.

Batch production ALD system mostly for 3D objects, large wafers and flat panels with custom size reactor up to 600x700 mm (manual batch loading)
The PICOSUN® P-1000 ALD system is designed for batch processing of larger glass or metal sheets and various 3-dimensional items such as mechanical machinery parts, coins, watch parts and jewelry, lenses, optics, and medical equipment, surgical implants and implantable devices (PicoMEDICAL™ solutions) in a production environment. The main applications include various passivation and barrier layers to significantly improve the performance and lifetime of the coated items. The PICOSUN® P-1000 ALD system offers innovative and agile design to enable the highest quality ALD depositions with excellent uniformity, maximum yields, minimum system downtime and low cost-of-ownership with production-proven processes.The reliable, fast, and easy to maintain PICOSUN® P-1000 ALD system represents the cutting-edge of industrial ALD.The PICOSUN™ P-1000 batch ALD reactor’s deposition chamber is made according to the customer’s specified substrate size. As square, the maximum cross section of the chamber is 470 mm x 470 mm, and as circular, the maximum diameter is 600 mm. The maximum height of the chamber is 700 mm. The square chamber is optimal for coating batches of 450 mm diameter silicon wafers – the next step in the evolution of the modern semiconductor manufacturing technology – or batches of large glass or metal sheets. Also smaller silicon wafers or 156 mm x 156 mm square solar wafers can be processed with their own, specifically designed sample holders. Alternatively, when equipped with the cylindrical reaction chamber the configuration is ideal for processing large batches of 3D objects. The P-1000 system comes with a separate electronics and precursor source cabinet designed for fast and easy maintenance, while keeping the tool frame and footprint plain and compact to save expensive facility space. Eight separate precursor manifolds and software capable of controlling 12 individual precursor sources ensure the highest level of flexibility in process development and ALD material selection.

Batch production ALD system mostly for 3D objects and various wafer sizes (manual batch loading)
The PICOSUN® P-300B ALD system is specially designed for production of MEMS devices such as print heads, sensors, and microphones, and coating of various 3D items such as mechanical machinery parts, glass or metal sheets, coins, watch parts and jewelry, lenses, optics, and medical devices and implants.The PICOSUN® P-300B ALD system is part of the PICOSUN™ 300 mm product line, which are optimized for automated, high volume industrial ALD processing for wafers up to 300 mm size. The product line comprises PICOSUN™ P-300S Pro (single 300 mm wafer) and PICOSUN® P-300B (300 mm Batch) tools. The tools can operate either in stand-alone configuration or they can be integrated into PICOPLATFORM™ 300 vacuum cluster systems for even higher throughput and automation levels. The PICOSUN® P-300 ALD systems have become the new standard in high volume ALD manufacturing. By integrating the patented hot-wall design with fully separated inlets, they can create the highest quality ALD films with excellent yield, low particle levels, and superior electrical and optical performance. The agile design with easy and fast maintenance ensures minimum system downtime and lowest cost-of-ownership in the market. The proprietary Picoflow™ diffusion enhancer technology enables highly conformal coatings on ultra-high aspect ratio substrates with production-proven processes.The PICOSUN® P-300B ALD system is specially designed for manual loaded batch processing in MEMS and 3-dimensional component production, such as coating of mechanical machinery parts, coins, watch parts and jewelry, lenses, optics, and medical equipment, surgical implants and implantable devices (PicoMEDICAL® solutions). The system is fast, highly reliable and extremely easy to maintain.

Batch production ALD system mostly for 3D objects and various wafer sizes (manual batch loading into vacuum load lock)
The PICOSUN® P-300BV ALD system is specially designed for production of LEDs, discrete devices, and MEMS devices such as print heads, sensors, and microphones.The PICOSUN® P-300BV ALD system represents the cutting-edge of industrial ALD. The system is designed for semi-automated handling of wafer batches. The tool is optimized for fast batch production and it can be integrated to factory automation via SECS/GEM option. Vacuum loading system with heating option enables clean processing of sensitive substrates and deposition of materials such as metal nitrides.The PICOSUN® P-300BV is the ALD system of choice for innovation driven industries.

Atomic Layer Deposition
Atomic Layer Deposition (ALD) provides super high-density films that are extremely uniform with exceptional step coverage. The Minilock ALD system has the capability to grow Atomic Layer Deposition films in Thermal and Plasma Enhanced modes (PEALD). It has the industry’s smallest footprint and lowest cost of ownership. The system is available for wafers up to 300mm in diameter. ALD is a thin-film deposition technique based on the sequential use of a gas-phase chemical process and/or plasma processes (PEALD). The majority of ALD reactions use two chemicals called precursors and reactants. The precursor adsorbs onto the surface of the material and the reactant is added thereafter, one at a time in a sequential, self-limiting, manner. By keeping our core components the same, it is very easy to scale to a production cluster platform. Standard processes have been developed for various materials. This is backed by over 25 years’ experience in rapid process development.

Powder coating
R&D/Pilot ScalePrometheus accelerates the understanding of Atomic Layer Deposition and develops its commercial applications. Prometheus is a lab-scale atomic layer deposition tool, built with scaleability in mind, with multiple reactor sizes available, batch size is adjustable

Manually Loaded Tool
The Encapsulix Infinity M500D is a versatile product family for extremely fast sheet-to-sheet low temperature, deposition in pilot manufacturing and advanced R&D. Typical applications are OLED (lighting and displays) , LED , photovoltaics ( Perovskite and organic PV, passivation layers on silicon PV), batteries and ultracapacitors as well as flexible electronics and advanced optical coatings. Substrate handling can be adapted easily to accommodate a variety of substrates such as Gen 2.5 FPD glass ( 400mm x 500 mm) or multisubstrate cassettes for Silicon PV cells. Substrate loading can be either manual or automated with interfacing to a robot in a glovebox. The Infinity product family is based on Encapsulix Parallel Precursor Wave™ (PPW) reactor architecture, which allows for extremely fast deposition, even at low temperature. For example, in the case of OLED encapsulation by Al2O3 at 80°C, the cycle time is less than one second. All tools of the family use the same core technology subsystems. Thus, process transfer between R&D on smaller substrates and manufacturing on larger substrates is smooth and hassle free. Modular zero-dead-volume ALD valves allow for ultrarapid change between metal precursors (less than 1 second). Hence, the tool is extremely well suited for the deposition of multilayers and nanolaminates. The tool is highly configurable with a large number of options ( see below).The control system uses the Encapsulix UCS architecture, the core of which is a real time controller with state machine and OPC-UA dataserver. Recipe files are text based on an open syntax format, allowing for off-line recipe creation and remote process support. The tool has extensive real time and delayed data logging and monitoring capabilities. A list of scientific publications where the Encapsulix has been used can be found here.

R&D wafer, 3D and powder coating tool
ALD research equipment that grows with you. Beneq TFS 200 is the most flexible ALD research platform ever designed for academic research and corporate R&D. Beneq TFS 200 has specifically been designed to minimize any cross contamination that could happen in a multi-user research environment. The large number of available options and upgrades means that your Beneq TFS 200 will grow with you to meet even the most demanding research requirements. Beneq TFS 200 represents technical solutions that enable deposition of superior quality coatings on wafers, planar objects, porous bulk materials and complex 3D objects with very high aspect ratio (HAR) features. Direct and remote plasma-enhanced deposition (PEALD) is available in Beneq TFS 200 as a standard option. The plasma is capacitively-coupled (CCP), which is the industry standard today. The CCP plasma option offers both direct and remote plasma-enhanced ALD (PEALD) for substrates up to 200mm, face-up or face-down.Process cycle time customarily less than 2 seconds. In specific cases even less than 1 secondHigh Aspect Ratio (HAR) available for structures with vias and porous substratesCold-wall vacuum chamber for rapid heating and coolingAuxiliary entry ports in vacuum chamber enable plasma, in situ diagnostics etc.Load lock available for rapid substrate change and integration with other equipment.A list of open acces scientific publications where the Beneq TFS 200 has been used can be found here.

Fully automated 200 mm cassette to cassette batch production ALD System
The PICOSUN® Morpher ALD product platform is designed to disrupt the up to 200 mm wafer industries in Beyond and More than Moore technologies. It enables fast, fully automatic, high throughput production of MEMS, sensors, LEDs, lasers, power electronics, optics, and 5G components with the leading process quality, reliability, and operational agility. Morpher adapts to the changing needs of your industry and the requirements of your customers, on all business verticals from corporate R&D to production and foundry manufacturing. The leading versatility in substrate materials, substrate and batch size, and the wide process range make Morpher truly a transformable, all-inclusive manufacturing facility to keep you spearheading your industry. The PICOSUN® Morpher is designed for fully automated handling of wafer batches in combination of industry standard single wafer vacuum cluster platforms. Revolutionary, patented wafer batch flipping mechanism enables integration of the system with semiconductor manufacturing lines where most of the processing takes place in horizontal geometry, and the SEMI S2/S8 certification ensures that the system is compatible with the strictest standards of the industry. The PICOSUN® Morpher ALD system can be integrated to factory automation via SECS/GEM protocol and the state-of-the-art software offers easy, safe and fail-proof operation of the system through intuitive and streamlined graphical user interface. With our patented dual-chamber, hot-wall reactor design with fully separated precursor conduits and inlets, we create the highest quality ALD films with excellent yield, low particle levels, and superior electrical and optical performance. The compact, ergonomic design with easy and fast maintenance ensures minimum system downtime and lowest cost-of-ownership in the market.

Fully automated 300 mm cassette to cassette batch production ALD System
The PICOSUN® Sprinter ALD system is designed to disrupt batch ALD production in 300 mm manufacturing lines in the semiconductor (e.g. emerging memory, transistor, capacitor), display, and IoT component industries. In Sprinter, barrier, high-k oxide, and other films are deposited with perfect ALD in mass production volumes. Fully automated, SEMI S2/S8-certified PICOSUN® Sprinter combines the leading single wafer film quality and uniformity with fast batch processing, high throughput, and uncompromising reliability. The core of the Sprinter is its disruptively designed reaction chamber, where fully laminar precursor flows ensure perfect ALD deposition with no parasitic CVD growth. This minimizes the need for system maintenance. Compared to vertical furnace reactors typically used for batch ALD processing, Sprinter provides higher film quality with lower thermal budget, so it is suitable also for temperature-sensitive devices. Sprinter combines very fast process times with smaller batch sizes than in vertical furnaces, which allows greater production flexibility and minimized risk without sacrificing throughput. The tool has also demonstrated record-breaking batch film quality. The standard Sprinter cluster configuration consists of two ALD modules, a central wafer-handling robot with pre-heating and cooling chambers, and an EFEM station to load/unload wafers from/to the FOUPs. Sprinter can also be purchased as a standalone module ready to be integrated into an existing manufacturing line or cluster. PICOSUN® Sprinter is made in Finland with European vacuum robotics and process modules. The product development work for PICOSUN® Sprinter has received funding from the European Union’s Horizon 2020 research and innovation programme R3-PowerUP under grant agreement No. ECSEL 737417.